7系列selectio之selectio的供电电压


7系列FPGA的selectio有四个供电电压,分别是VCCO、VREF、VCCAUX和VCCAUX_IO。其中VCCAUX_IO在A7中是没有的。本文就这四个供电电压进行描述。

1VCCO:

这是7系列I/O电路的主要电源,每个bank的VCCO都必须连接到板块上的同一电压电源。也就是说一个BANK只能给定一种电压,对于HP,必须小于1.8V,不能大于2.5V,否则就会保护。在进行硬件设计时,接3.3V LED灯的与接1.2V LVDS的两种外设就不能接到同一个BANK,否则总有一个不能用,甚至产生损坏。Xilinx给了一堆I/O Standar以及标准下的电器特性,Vcco的设置需遵守该规范,如下图是部分的I/O标准及电气特性。

image.png

如下图是一个VCCO的使用实列,BANK64接到了1.2V,外设是DDR4

image.png

VREF:

具有差分输入BUF的单端I/O需要一个输入参考电压(VREF),如下SSTL是DDR所用的IO标准,采用了上拉的单端阻抗匹配,这样信号的低电平就不会是0电平,所以差分输入的“-”接到了VREF,这个引脚的电压值为IO 标准电压值的一半。

image.png

7系列FPGA也可以通过启用INTERNAL_VREF约束来选择使用内部生成的参考电压。

VCCAUX

是全局辅助电源,主要为FPGA内部各个功能块的互联逻辑提供电源。

在I/O BANK中,VCCAUX也被用于为一些I/O标准的输入缓冲电路供电。

这些标准包括所有在或低于1.8V的单端I/O标准,以及一些2.5V标准(仅限HR)。

VCCAUX_IO

辅助I/O电源仅存在于K7和V7系列FPGA的HP BANK中,并为I/O电路供电。有1.8V和2.0V两种供电电压选择,且与存储器(DDR)接口频率有关,但不仅限于此,其中2.0V电压支持更高跑了的存储器接口,如果该信号设置为2.0V,需要添加约束






快来扫描下方二维码关注公众号,领取站内所有相关资料,所有哦~

有建议、有需求、有疑问、联系我